您的位置:控制工程论坛网论坛 » PLC与PAC » PLC怎么计算两次信号间的时间差?

dongzhang

dongzhang   |   当前状态:在线

总积分:887  2024年可用积分:0

注册时间: 2006-08-24

最后登录时间: 2023-09-01

空间 发短消息加为好友

PLC怎么计算两次信号间的时间差?

dongzhang  发表于 2022/8/25 14:16:04      547 查看 4 回复  [上一主题]  [下一主题]

手机阅读

我只在系统寄存器里找到SV12-SV17,可以分别读出来,但怎样进行日期运算?

怎么计算这两个时间的时间差?或者说有别的其他方式计算两个信号的时间差?


1楼 0 0 回复
  • akkkkk

    akkkkk   |   当前状态:在线

    总积分:665  2024年可用积分:0

    注册时间: 2008-07-30

    最后登录时间: 2023-09-07

    空间 发短消息加为好友

    akkkkk   发表于 2022/8/25 14:35:59

    难道不是靠四则运算计算出来的么

    2楼 回复本楼

    引用 akkkkk 2022/8/25 14:35:59 发表于2楼的内容

  • boguan_thl

    boguan_thl   |   当前状态:在线

    总积分:925  2024年可用积分:0

    注册时间: 2010-12-15

    最后登录时间: 2023-09-07

    空间 发短消息加为好友

    boguan_thl   发表于 2022/8/25 14:45:45

    PLC一般没有时间直接相减的指令,建议先换把两个时间转换为秒的整数,进行相减。得到的结果就是两个时间相差多少秒。如需要再换算成时间格式。

    3楼 回复本楼

    引用 boguan_thl 2022/8/25 14:45:45 发表于3楼的内容

  • akeng

    akeng   |   当前状态:在线

    总积分:614  2024年可用积分:0

    注册时间: 2008-10-22

    最后登录时间: 2023-09-07

    空间 发短消息加为好友

    akeng   发表于 2022/8/25 15:48:52

    一般没有时间直接相减的指令,建议先换把两个时间转换为秒的整数,进行相减。得到的结果就是两个时间相差多少秒。如需要再换算成时间格式。

    4楼 回复本楼

    引用 akeng 2022/8/25 15:48:52 发表于4楼的内容

  • nihaosb

    nihaosb   |   当前状态:离线

    总积分:0  2024年可用积分:0

    注册时间: 0001-01-01

    最后登录时间: 0001-01-01

    空间 发短消息加为好友

    nihaosb   发表于 2022/9/28 4:08:53

    5楼 回复本楼

    引用 nihaosb 2022/9/28 4:08:53 发表于5楼的内容

总共 , 当前 /